优秀的毕业设计论文网
计算机 JAVA 电子信息 单片机 机械机电 模具 土木工程 建筑结构 论文
热门搜索词:网络 ASP.NET 汽车 电气 数控 PLC

智能数字日历设计与仿真

以下是资料介绍,如需要完整的请充值下载.
1.无需注册登录,支付后按照提示操作即可获取该资料.
2.资料以网页介绍的为准,下载后不会有水印.资料仅供学习参考之用.
  
资料介绍:

智能数字日历设计与仿真(任务书,开题报告,论文12000字)
摘要
随着时代的发展,科技的进步,日历不仅在我们的日常生产、生活中必不可少,而且它的内容、形式也越来越丰富。在高速发展的现代社会,传统的机械日历由于其在体积,机械结构,供电的限制,其功能和性能上已逐渐不能满足人们的工作、生活,各种电子化、智能化,多功能的日历应运而生。本课题是基于EDA工具设计一款数字智能日历,具有年、月、日、星期、时、分、秒计时显示功能、时间调整功能和整点报时功能,同时增加闹钟功能。
本设计从闹钟模块与整点报时模块、平、闰年调节模块、数码显示模块三个模块入手,将选用VHDL硬件描述语言,用VHDL完成各模块程序的设计,实现其功能编译和仿真,并在Quartus 2上完成整体的整合,实现其功能的编译和仿真。
本设计能够实现年、月、日、时、分、秒计时显示功能,同时能够识别平,闰年并且自动调整对应月份的天数,能够完成时间调整能功能、整点报时功能以及闹钟功能。它能满足了大多数人对日历功能的要求,大大的方便了人们的生活。
关键词:智能日历,VHDL,Quartus 2,EDA
 
Abstract
With the development of The Times and the progress of science and technology, calendar is not only indispensable in our daily production and life, but also more and more rich in content and form. In the rapid development of modern society, the traditional mechanical calendar due to its size, the mechanical structure, the limitation of power supply, its function and performance has been gradually cannot satisfy people's work and life, all kinds of digital, intelligent, multi-function calendars came into being. This topic is based on EDA tools to design a digital smart calendar, a year, month, day, week, time, minutes and seconds when display function, the time adjustment function and function of hour, at the same time increase the alarm clock function. [资料来源:http://THINK58.com]
This design from the alarm module and hour module, flat, leap year adjustment module, digital display module three modules, this paper will use VHDL hardware description language, complete each module program design with VHDL, compilation and simulation to achieve its function, and with the overall integration on Quartus 2, realize the function of the compilation and simulation.
This design can achieve the year, month, day, hours, minutes, seconds time display function, at the same time able to identify, leap year and automatically adjust the corresponding to the number of days in February, able to complete time adjustment function, the integral point to announce the correct time and alarm clock function function. It can meet the requirements of most people on the function of calendar and greatly facilitate people's life.
Keywords: smart calendar,VHDL, Quartus 2, EDA
 

[来源:http://think58.com]

智能数字日历设计与仿真
智能数字日历设计与仿真


目录
摘要    I
第1章 绪论    1
第2章 系统结构与方案设计    3
2.1系统结构    3
2.2方案设计    4
2.2.1 课题分析    4
2.2.2方案选择    4
2.2.3硬件描述语言选择    5
2.2.4 设计环境选择    5
2.2.5方案设计小结    6
第3章 闹钟模块与整点报时模块    7
3.1模块设计    7
3.1.1 秒模块    7
3.1.2  分模块    8

[来源:http://www.think58.com]

3.1.3  时模块    8
3.2 模块仿真    9
第4章 平、闰年调节模块    11
4.1  系统分析    11
4.2  年,月,日模块    11
第5章 数码显示模块    15
5.1设计分析    15
5.2程序设计    15
第6章 系统仿真    17
6.1 仿真程序及图形    17
6.2 结果分析    20
第7章 结论    21
7.1 总结    21
7.2 展望    22
致谢    23
参考文献    24
附录    25

[资料来源:THINK58.com]